2006年02月21日

VHDL TIPS 「rangeの使用法」

アトリビュートの[range]を使うとベクタサイズが変更になる場合などに変更箇所が少なくてすむようになる。

使用方法


    constant TVS : integer := 4;

    signal TEST : std_logic_vector(TVS-1 downto 0);

    if ( TEST = (TEST'range => '1') ) then
        TEST <= TEST + '1';
    end if;


上記の様に記述すると「TEST'range」は単純に(3 downto 0)に置き換えられる。

使用例


    if ( TEST = (TEST'range => '1') ) then
    ...
    for i in TEST'range loop
    ...
     type TESTARRAY is array(TEST'range) of std_logic_vector(1 downto 0);



投稿時刻(11:07)│コメント(0)VHDL 

この記事にコメントする

名前:
URL:
  情報を記憶: 評価: 顔   
 
 
 
最新コメント
カテゴリ別表示
月別表示